This webpage may contain errors. Please do NOT trust the following list, although the maintainer has tried his best to correct the mistakes. If you find an error, please contact the maintainer via email at “contact [at] ishikawa.cc”.

Highly contributed researchers in 1998

This list is based on the data extracted from dblp: IEEE Communications Letters

Applying ATM to mobile infrastructure networks

Authors: Hiroshi Nakamura, Hisakazu Tsuboya, Masatomo Nakano, Akihisa Nakajima

Network awareness and mobile agent systems

Authors: Wilmer Caripe, George Cybenko, Katsuhiro Moizumi, Robert Gray

Service quality in TINA: quality of service trading in open network architecture

Authors: Takeo Hamada, Stephanie Hogg, Jarno Rajahalme, Carlo Licciardi, Lill Kristiansen, Per Fly Hansen

Applications of ATM in global networks

Authors: John C. Luetchford, Marion Schreinemachers, Noataka Morita, Hideaki Arai

Wideband DS-CDMA for next-generation mobile communications systems

Authors: Fumiyuki Adachi, Mamoru Sawahashi, Hirohito Suda

Standardization for GII and Multimedia Communications

Authors: Koichi Asatani, Henry J. F. Ryan, Steve Walters

The TINA Consortium

Authors: Yuji Inoue, Deb Guha, Hendrik Berndt

IETF and Internet standards

Author: Masataka Ohta

The current situation of IMT-2000 standardization activities in Japan

Authors: Akio Sasaki, Masami Yabusaki, Syuichi Inada

DAVIC and interactive multimedia services

Authors: Hiroshi Yasuda and Henry J. F. Ryan

The IEEE P1520 standards initiative for programmable network interfaces

Authors: Jit Biswas, Aurel A. Lazar, Jean-Franois Huard, Koon-Seng Lim, Semir Mahjoub, Louis-Franois Pau, Masaaki Suzuki, Soren Torstensson, Weiguo Wang, Stephen B. Weinstein

Distributed object technology for networking

Authors: Jens-Peter Redlich, Masaaki Suzuki, Stephen B. Weinstein

Programmable networks [Guest editorial]

Authors: Masaaki Suzuki, Weiguo Wang, Stephen B. Weinstein

This list is based on the data extracted from dblp: IEEE Communications Magazine

Object-oriented H.263 compatible video coding platform for conferencing applications

Authors: John Hartung, Arnaud E. Jacquin, James Pawlyk, Jonathan Rosenberg, Hiroyuki Okada, Paul E. Crouch

The MONET New Jersey network demonstration

Authors: L. D. Garrett, Richard M. Derosier, Alan H. Gnauck, A. McCormick, Robert W. Tkach, R. S. Vodhanel, J. C. Chiao, J. Gamelin, C. A. Gibbins, H. Shirokmann, Michael E. Rauch, J. Young, R. E. Wagner, A. Luss, Mari Maeda, Jorge L. Pastor, Michael Post, Chien-Chung Shen, S. J. Wei, Brian J. Wilson, Yukun Tsai, G. K. Chang, Sunita H. Patel, C. L. Allyn, Andrew R. Chraplyvy, J. Judkins, Atul K. Srivastava, James W. Sulhoff, Yan Sun, A. M. Vengsarkar, C. Wolf, J. L. Zyskind, A. Chester, B. Comissiong, G. W. Davis, G. Duverney, Neil A. Jackman, A. Jozan, V. Nichols, B. H. Lee, R. Vora, A. F. Yorinks, G. Newsome, P. Bhattacharjya, D. Doherty, John Ellson, C. Hunt, Antonio Rodriguez-Moral, N. V. Srinivasan, W. Kraeft, J. Ippolito

Large-capacity optical path cross-connect system for WDM photonic transport network

Authors: Masafumi Koga, Atsushi Watanabe, Takeshi Kawai, Ken-ichi Sato, Yasuji Ohmori

Optical cross-connect system incorporated with newly developed operation and management system

Authors: Tatsuya Shiragaki, Naoya Henmi, Tomoaki Kato, Masahiko Fujiwara, Masatoshi Misono, Takahiro Shiozawa, Shuji Suzuki

Optical output buffered ATM switch prototype based on FRONTIERNET architecture

Authors: Yoshiaki Yamada, Koji Sasayama, Keishi Habara, Akira Misawa, Masato Tsukada, Tohru Matsunaga, Ken-ichi Yukimatsu

An asynchronous multiuser CDMA detector based on the Kalman filter

Authors: Teng Joon Lim, Lars K. Rasmussen, Hiroki Sugimoto

This list is based on the data extracted from dblp: IEEE J. Sel. Areas Communications

20-Mb/s erase/record flash memory by asymmetrical operation

Authors: Takayuki Kawahara, Yusuke Jyouno, Syun-ichi Saeki, Naoki Miyamoto, Katsutaka Kimura

Internal voltage generator for low voltage, quarter-micrometer flash memories

Authors: Takayuki Kawahara, Syun-ichi Saeki, Yusuke Jyouno, Naoki Miyamoto, Takashi Kobayashi, Katsutaka Kimura

Authors' Reply

Authors: Tadao Nakagawa and Hideyuki Nosaka

Dual-period self-refresh scheme for low-power DRAM's with on-chip PROM mode register

Authors: Youji Idei, Katsuhiro Shimohigashi, Masakazu Aoki, Hiromasa Noda, Hidetoshi Iwai, Katsuyuki Sato, Tadashi Tachibana

Noise suppression scheme for gigabit-scale and gigabyte/s data-rate LSI's

Authors: Daisaburo Takashima, Yukihito Oowaki, Shigeyoshi Watanabe, Kazunori Ohuchi

A 2-V, 2-GHz low-power direct digital frequency synthesizer chip-set for wireless communication

Authors: Akihiro Yamagishi, Masayuki Ishikawa, Tsuneo Tsukahara, Shigeru Date

Variable supply-voltage scheme for low-power high-speed CMOS digital design

Authors: Tadahiro Kuroda, Kojiro Suzuki, Shinji Mita, Tetsuya Fujita, Fumiyuki Yamane, Fumihiko Sano, Akihiko Chiba, Yoshinori Watanabe, Koji Matsuda, Takeo Maeda, Takayasu Sakurai, Tohru Furuyama

A 25 Ms/s 8-b-10 Ms/s 10-b CMOS data acquisition IC for digital storage oscilloscopes

Authors: Naoya Kusayanagi, Toru Choi, Masaya Hiwatashi, Masahiro Segami, Yasukazu Akasaka, Tadashi Wakabayashi

A mixed-signal array processor with early vision applications

Authors: David A. Martin, Hae-Seung Lee, Ichiro Masaki

Automated low-power technique exploiting multiple supply voltages applied to a media processor

Authors: Kimiyoshi Usami, Mutsunori Igarashi, Fumihiro Minami, Takashi Ishikawa, Masahiro Kanazawa, Makoto Ichida, Kazutaka Nogami

400-MHz random column operating SDRAM techniques with self-skew compensation

Authors: Takeshi Hamamoto, Masaki Tsukude, Kazutami Arimoto, Yasuhiro Konishi, Takayuki Miyamoto, Hideyuki Ozaki, Michihiro Yamada

A reduced clock-swing flip-flop (RCSFF) for 63% power reduction

Authors: Hiroshi Kawaguchi and Takayasu Sakurai

A charge-transfer amplifier and an encoded-bus architecture for low-power SRAM's

Authors: Shoichiro Kawashima, Toshihiko Mori, Ryuhei Sasagawa, Makoto Hamaminato, Shigetoshi Wakayama, Kazuo Sukegawa, Isao Fukushi

A 2-V 1.9-GHz Si down-conversion mixer with an LC phase shifter

Authors: Hiroshi Komurasaki, Hisayasu Sato, Nagisa Sasaki, Takahiro Miki

High-density chain ferroelectric random access memory (chain FRAM)

Authors: Daisaburo Takashima and Iwao Kunishima

The impact of scaling down to deep submicron on CMOS RF circuits

Authors: Qiuting Huang, Francesco Piazza, Paolo Orsatti, Tatsuya Ohguro

Computational sensor for visual tracking with attention

Authors: Vladimir Brajovic and Takao Kanade

A 156-Mb/s CMOS optical receiver for burst-mode transmission

Authors: Makoto Nakamura, Noboru Ishihara, Yukio Akazawa

A GaAs upconverter MMIC with an automatic gain control amplifier for 1.9 GHz PHS

Authors: Huainan Ma, Sher Jiun Fang, Fujiang Lin, Khen-Sang Tan, Junichi Shibata, Atsushi Tamura, Hiroshi Nakamura

A highly miniaturized front-end HIC for 1.9 GHz bands

Authors: Tadayoshi Nakatsuka, Junji Itoh, Takayuki Yoshida, Mitsuru Nishitsuji, Tomoya Uda, Osamu Ishikawa

An 80-Gbit/s multiplexer IC using InAlAs/InGaAs/InP HEMTs

Authors: Taiichi Otsuji, Koichi Murata, Takatomo Enoki, Yohtaro Umeda

50-GHz-bandwidth baseband amplifiers using GaAs-based HBTs

Authors: Yasuyuki Suzuki, Hidenori Shimawaki, Yasushi Amamiya, Nobuo Nagano, Takaki Niwa, Hitoshi Yano, Kazuhiko Honjo

Very-high-speed InP/InGaAs HBT ICs for optical transmission systems

Authors: Hideyuki Suzuki, Koichi Watanabe, Kyosuke Ishikawa, Hiroshi Masuda, Kiyoshi Ouchi, Tomonori Tanoue, Ryoji Takeyari

An 0.8-μm high-voltage IC using a newly designed 600-V lateral p-channel dual-action device on SOI

Authors: Kiyoto Watabe, Hajime Akiyama, Tomohide Terashima, Masakazu Okada, Shinji Nobuto, Masao Yamawaki, Sotoju Asa

An HBT MMIC power amplifier with an integrated diode linearizer for low-voltage portable phone applications

Authors: Toshihiko Yoshimasu, Masanori Akagi, Noriyuki Tanba, Shinji Hara

High-speed, low-power, bipolar standard cell design methodology for Gbit/s signal processing

Authors: Keiichi Koike, Kenji Kawai, Akira Onozawa, Yuichiro Takei, Yoshiji Kobayashi, Haruhiko Ichino

A 40-Gbit/s superdynamic decision IC fabricated with 0.12-μm GaAs MESFET's

Authors: Koichi Murata, Taiichi Otsuji, Mikio Yoneyama, Masami Tokumitsu

A 1-Gb SDRAM with ground-level precharged bit line and nonboosted 2.1-V word line

Authors: Satoshi Eto, Masato Matsumiya, Masato Takita, Yuki Ishii, Toshikazu Nakamura, Kuninori Kawabata, Hideki Kano, Ayako Kitamoto, Toshimi Ikeda, Toru Koga, Mitsuhiro Higashiho, Yuji Serizawa, Kazuo Itabashi, Osamu Tsuboi, Yuji Yokoyama, Masao Taguchi

64-KByte sum-addressed-memory cache with 1.6-ns cycle and 2.6-ns latency

Authors: Raymond A. Heald, Ken Shin, Vinita Reddy, I-Feng Kao, Masood Khan, William L. Lynch, Gary Lauterbach, Joe Petolino

An 800-MOPS, 110-mW, 1.5-V, parallel DSP for mobile multimedia processing

Authors: Hiroyuki Igura, Yukihiro Naito, Kenya Kazama, Ichiro Kuroda, Masato Motomura, Masakazu Yamashina

A 220-mm2, four- and eight-bank, 256-Mb SDRAM with single-sided stitched WL architecture

Authors: Toshiaki Kirihata, Martin Gall, Kohji Hosokawa, Jean-Marc Dortu, Hing Wong, Peter Pfefferl, Brian L. Ji, Oliver Weinfurtner, John K. DeBrosse, Hartmud Terletzki, Manfred Selz, Wayne Ellis, Matthew R. Wordeman, Oliver Kiehl

A 1.2-W, 2.16-GOPS/720-MFLOPS embedded superscalar microprocessor for multimedia applications

Authors: Hajime Kubosawa, Hiromasa Takahashi, Satoshi Ando, Yoshimi Asada, Akira Asato, Atsuhiro Suga, Michihide Kimura, Naoshi Higaki, Hideo Miyake, Tomio Sato, Hideaki Anbutsu, Toshitaka Tsuda, Tetsuo Yoshimura, Isao Amano, Mutsuaki Kai, Shin Mitarai

Low-power SRAM design using half-swing pulse-mode techniques

Authors: Kenneth W. Mai, Toshihiko Mori, Bharadwaj S. Amrutur, Ron Ho, Bennett Wilburn, Mark A. Horowitz, Isao Fukushi, Tetsuo Izawa, Shin Mitara

A 240-Mbps, 1-W CMOS EPRML read-channel LSI chip using an interleaved subranging pipeline A/D converter

Authors: Tatsuji Matsuura, Takashi Nara, Tatsuya Komatsu, Eiki Imaizumi, Toshihiro Matsutsuru, Ryutaro Horita, Haruto Katsu, Shintaro Suzumura, Kazuo Sato

A 1.8-ns access, 550-MHz, 4.5-Mb CMOS SRAM

Authors: Hiroaki Nambu, Kazuo Kanetani, Kaname Yamasaki, Keiichi Higeta, Masami Usami, Yasuhiro Fujimura, Kazumasa Ando, Takeshi Kusunoki, Kunihiko Yamaguchi, Noriyuki Homma

A 1.2-W single-chip MPEG2 MP@ML video encoder LSI including wide search range (H±288, V: ±96) motion estimation and 81-MOPS controller

Authors: Eiji Ogura, Masatoshi Takashima, Daisuke Hiranaka, Toshiro Ishikawa, Yukio Yanagita, Shuji Suzuki, Tokuya Fukuda, Toshiyuki Ishii

500-Mb/s nonprecharged data bus for high-speed DRAM's

Authors: Miyoshi Saito, Junji Ogawa, Hirotaka Tamura, Shigetoshi Wakayama, Hisakatsu Araki, Tsz-Shing Cheung, Kohtaroh Gotoh, Tadao Aikawa, Takaaki Suzuki, Masao Taguchi, Takeshi Imamura

A 5-MHz, 3.6-mW, 1.4-V SRAM with nonboosted, vertical bipolar bit-line contact memory cell

Authors: Hirotoshi Sato, Hideaki Nagaoka, Hiroaki Honda, Yukio Maki, Tomohisa Wada, Yutaka Arita, Kazuhito Tsutsumi, Makoto Taniguchi, Michihiro Yamada

A 1.0-GHz single-issue 64-bit powerPC integer processor

Authors: Joel Silberman, Naoaki Aoki, David Boerstler, Jeffrey L. Burns, Sang H. Dhong, Axel Essbaum, Uttam Ghoshal, David F. Heidel, H. Peter Hofstee, Kyung T. Lee, David Meltzer, Hung C. Ngo, Kevin J. Nowka, Stephen D. Posluszny, Osamu Takahashi, Ivan Vo, Brian A. Zoric

A 60-mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme

Authors: Masafumi Takahashi, Mototsugu Hamada, Tsuyoshi Nishikawa, Hideho Arakida, Tetsuya Fujita, Fumitoshi Hatori, Shinji Mita, Kojiro Suzuki, Akihiko Chiba, Toshihiro Terazawa, Fumihiko Sano, Yoshinori Watanabe, Kimiyoshi Usami, Mutsunori Igarashi, Takashi Ishikawa, Masahiro Kanazawa, Tadahiro Kuroda, Tohru Furuyama

A configurable DRAM macro design for 2112 derivative organizations to be synthesized using a memory generator

Authors: Tomoaki Yabe, Shinji Miyano, Katsuhiko Sato, Masaharu Wada, Ryo Haga, Osamu Wada, Motohiro Enkaku, Takehiko Hojyo, Kenichiro Mimoto, Masaaki Tazawa, Tsutomu Ohkubo, Kenji Numata

A 1.5 V, 4.1 mW dual-channel audio delta-sigma D/A converter

Authors: Ichiro Fujimori and Tetsuro Sugimoto

A 4.25-Gb/s CMOS fiber channel transceiver with asynchronous tree-type demultiplexer and frequency conversion architecture

Authors: Muneo Fukaishi, Kazuyuki Nakamura, Masaharu Sato, Yutaka Tsutsui, Syuji Kishi, Michio Yotsuyanagi

A 16-μA interface circuit for a capacitive flow sensor

Authors: Bertram Rodgers, Sofjan Goenawan, Mohammad Yunus, Yoshikazu Kaneko, Junichi Yoshiike

A single-chip 2.4-Gb/s CMOS optical receiver IC with low substrate cross-talk preamplifier

Authors: Akira Tanabe, Masaaki Soda, Yasushi Nakahara, Takao Tamura, Kazuyoshi Yoshida, Akio Furukawa

A CMOS 6-b, 400-MSample/s ADC with error correction

Authors: Sanroku Tsukamoto, William G. Schofield, Toshiaki Endo

An I/Q active balanced harmonic mixer with IM2 cancelers and a 45° phase shifter

Authors: Takafumi Yamaji, Hiroshi Tanimoto, Hideyuki Kokatsu

This list is based on the data extracted from dblp: IEEE J. Solid State Circuits

RoboCup: robot world cup

Authors: Hiroaki Kitano, Minoru Asada, Itsuki Noda, Hitoshi Matsubara

This list is based on the data extracted from dblp: IEEE Robotics Autom. Magazine

A self-stabilized minor subspace rule

Authors: Scott C. Douglas, Sun-Yuan Kung, Shun-ichi Amari

This list is based on the data extracted from dblp: IEEE Signal Processing Letters

VLSI design and implementation fuels the signal-processing revolution

Authors: Jan M. Rabaey, Wanda Gass, Robert W. Brodersen, Takeo Nishitani, Tsuhan Chen

This list is based on the data extracted from dblp: IEEE Signal Processing Magazine

A recursive construction algorithm for covariance control

Authors: Tetsuya Iwasaki, Robert E. Skelton, Martin J. Corless

Parametric absolute stability of Lur'e systems

Authors: Teruyo Wada, Masao Ikeda, Yuzo Ohta, Dragoslav D. Siljak

This list is based on the data extracted from dblp: IEEE Transactions Autom. Control.

Global brightness-variation compensation for video coding

Authors: Kazuto Kamikura, Hiroshi Watanabe, Hirohisa Jozawa, Hiroshi Kotera, Susumu Ichinose

This list is based on the data extracted from dblp: IEEE Transactions Circuits Systems Video Technol.

H∞ control of molten steel level in continuous caster

Authors: Hirosh Kitada, Osamu Kondo, Hirosh Kusachi, Kingo Sasame

Bifurcation in vehicle dynamics and robust front wheel steering control

Authors: Eiichi Ono, Shigeyuki Hosoe, Hoang Duong Tuan, Shun'ichi Doi

This list is based on the data extracted from dblp: IEEE Transactions Control. Systems Technol.

Angular memory and frequency interferometry for mean height profiling of a rough surface

Authors: Charles T. C. Le, Akira Ishimaru, Yasuo Kuga, Ji-Hae Yea

Ku- and C-band SAR for discriminating agricultural crop and soil conditions

Authors: M. Susan Moran, Alain Vidal, Denis Troufleau, Yoshio Inoue, Thomas A. Mitchell

Advanced polarimetric subsurface FM-CW radar

Authors: Toshifumi Moriyama, Hajime Kasahara, Yoshio Yamaguchi, Hiroyoshi Yamada

Design and preflight performance of ASTER instrument protoflight model

Authors: Hiroyuki Fujisada, Fumihiro Sakuma, Akira Ono, Masahiko Kudoh

A temperature and emissivity separation algorithm for Advanced Spaceborne Thermal Emission and Reflection Radiometer (ASTER) images

Authors: Alan R. Gillespie, Shuichi Rokugawa, Tsuneo Matsunaga, J. Steven Cothern, Simon J. Hook, Anne B. Kahle

ASTER preflight and inflight calibration and the validation of Level 2 products

Authors: Kurtis J. Thome, Kohei Arai, Simon J. Hook, H. Kieffer, Harold Lang, Tsuneo Matsunaga, A. Ono, Frank D. Palluconi, H. Sakuma, Philip Slater, Tsutomu Takashima, Hideyuki Tonooka, Satoshi Tsuchida, R. M. Welch, Edward Zalewski

Atmospheric correction of ASTER

Authors: Kurtis J. Thome, Frank D. Palluconi, Tsutomu Takashima, Kazuhiko Masuda

ASTER as a source for topographic data in the late 1990s

Authors: Roy Welch, Thomas Jordan, Harold Lang, Hiroshi Murakami

Overview of Advanced Spaceborne Thermal Emission and Reflection Radiometer (ASTER)

Authors: Yasushi Yamaguchi, Anne B. Kahle, Hiroji Tsu, Toru Kawakami, Moshe Pniel

An imaging technique using confocal circular synthetic aperture radar

Authors: Akira Ishimaru, Tsz-King Chan, Yasuo Kuga

GRS-S Awards Presented At IGARRS'97

Authors: Werner Wiesbeck, Keith R. Carver, Haruhisa Shimoda, Kiyo Tomiyasu

This list is based on the data extracted from dblp: IEEE Transactions Geosci. Remote. Sens.

Guest Editorial Applications Of Artificial Neural Networks To Image Processing

Authors: Rama Chellappa, Kunihiko Fukushima, Aggelos K. Katsaggelos, Sun-Yuan Kung, Yann LeCun, Nasser M. Nasrabadi, Tomaso A. Poggio

This list is based on the data extracted from dblp: IEEE Transactions Image Processing

A Trellis-Based Recursive Maximum-Likelihood Decoding Algorithm for Binary Linear Block Codes

Authors: Toru Fujiwara, Hiroshi Yamamoto, Tadao Kasami, Shu Lin

Irregular Sampling Theorems for Wavelet Subspaces

Authors: Wen Chen, Shuichi Itoh, Junji Shiki

Fast Erasure-and-Error Decoding of Algebraic Geometry Codes up to the Feng-Rao Bound

Authors: Shojiro Sakata, Douglas A. Leonard, Helge Elbrnd Jensen, Tom Hholdt

Subspace Subcodes of Reed-Solomon Codes

Authors: Masayuki Hattori, Robert J. McEliece, Gustave Solomon

Applications of Error-Control Coding

Authors: Daniel J. Costello Jr., Joachim Hagenauer, Hideki Imai, Stephen B. Wicker

Statistical Inference Under Multiterminal Data Compression

Authors: Te Sun Han and Shun-ichi Amari

Double Circulant Self-Dual Codes Over Z2k

Authors: T. Aaron Gulliver and Masaaki Harada

This list is based on the data extracted from dblp: IEEE Transactions Inf. Theory

Estimation of the waveform of a sound source by using an iterative technique with many sensors

Authors: Masato Abe, Kiyohito Fujii, Yoshifumi Nagata, Toshio Sone, Ken'iti Kido

Fast deconvolution of multichannel systems using regularization

Authors: Ole Kirkeby, Philip Arthur Nelson, Hareo Hamada, Felipe Ordua-Bustamante

Design and description of CS-ACELP: a toll quality 8 kb/s speech coder

Authors: Redwan Salami, Claude Laflamme, Jean-Pierre Adoul, Akitoshi Kataoka, Shinji Hayashi, Takehiro Moriya, Claude Lamblin, Dominique Massaloux, Stphane Proust, Peter Kroon, Yair Shoham

Efficient training algorithms for HMMs using incremental estimation

Authors: Yoshihiko Gotoh, Michael M. Hochberg, Harvey F. Silverman

Flexible speech understanding based on combined key-phrase detection and verification

Authors: Tatsuya Kawahara, Chin-Hui Lee, Biing-Hwang Juang

This list is based on the data extracted from dblp: IEEE Transactions Speech Audio Processing

Television: Past, Present, And Future

Author: Takahiko Fukinuki

Multimedia processors

Authors: Ichiro Kuroda and Takao Nishitani

Multimedia data-embedding and watermarking technologies

Authors: Mitchell D. Swanson, Mei Kobayashi, Ahmed H. Tewfik

Vacuum-sealed silicon micromachined pressure sensors

Authors: Masayoshi Esashi, Susumu Sugiyama, Kyoichi Ikeda, Yuelin Wang, Haruzo Miyashita

Microactuators and micromachines

Author: Hiroyuki Fujita

Adaptive blind signal processing-neural network approaches

Authors: Shun-ichi Amari and Andrzej Cichocki

This list is based on the data extracted from dblp: Proc. IEEE